设为首页收藏本站

最大的系统仿真与系统优化公益交流社区

 找回密码
 注册

QQ登录

只需一步,快速开始

查看: 3308|回复: 6

[求助] 读取不到GA数据?

[复制链接]
发表于 2010-6-3 23:04:25 | 显示全部楼层 |阅读模式
本帖最后由 EPFL_GO111 于 2010-6-3 23:05 编辑 6 |! `  X! h/ F  `% @. w7 ~  ~
, B/ O3 }! V/ J+ B
以下是我写的代码:
5 u7 i2 C  O% l$ C
+ `$ u. s  K3 \* Qinteger yindex,i;
- Y( N: f3 V2 Z8 Q% Breal temp;
7 E' B3 O7 ^( i* W
" Y/ l1 I! m+ T/ Syindex=GAGetIndex("firsty");   1 \& C  E: [6 A% p! G9 K7 ?- Y7 I/ F
for(i=1;i<1990;i++)% N( W  A" s/ v1 T9 e% Q
temp=GAGetReal(yindex, i,0); //读第i 行 第 0 列的数据5 x2 d+ y2 q2 X% T5 m
output=temp;, W( H% A5 u0 D
; {& a/ _* X  b! o
然后output的值一直是0,也就是说该代码读取不到GA数据,请问哪里出错了?谢谢
5 L+ G6 T7 T9 R
9 w3 |! z& F: H* I' A  tPS:firsty is a real GA

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
发表于 2010-6-4 05:57:19 | 显示全部楼层
for(i=1;i<1990;i++)2 ~6 m: O, N9 o* R" i' F
{
9 _1 ~5 R. g# stemp=GAGetReal(yindex, i,0); //读第i 行 第 0 列的数据9 y8 G* ^" s  E! i1 c0 A
output=temp;2 B5 x9 l% p$ Z& ?& u
}
 楼主| 发表于 2010-6-4 10:53:52 | 显示全部楼层
2# iesim
, |2 v; Z) t0 y% V7 J# g. p) }
/ [5 {, i: E8 b0 \3 l- u1 u$ S王老师,我还是不能读到数据,我把模型放上来了,谢谢你帮我看一下,谢谢

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
发表于 2010-6-4 16:56:46 | 显示全部楼层
你的模型需要太多自定义的模块库,我没有。你只需要把这个 equation(I)模块拷贝到新的模型中,发过来就可以。
 楼主| 发表于 2010-6-4 17:13:37 | 显示全部楼层
4# iesim , ~% j+ R& p  a

& ]- ^7 c0 L6 u谢谢王老师的耐心,谢谢,我已经把模型上传了

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x
发表于 2010-6-4 17:32:43 | 显示全部楼层
你的程序只能读 1990 行。因为每次执行这个程序,它都会结束在第1990行,然后把这个值输出出来。
 楼主| 发表于 2010-6-4 23:25:33 | 显示全部楼层
6# iesim
! ?/ O0 o2 _0 ]* F# s
3 u! p; e: o! z4 x! Y8 o* v哦,我明白你的意思了,谢谢,那我现在想要这样的效果:我现在是第i个yvalue,那我想要读取第i-1个yvalue,而这些yvalue是动态增加的,那有什么好提议呢?谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

QQ|Archiver|手机版|SimulWay 道于仿真   

GMT+8, 2024-6-5 10:45 , Processed in 0.014511 second(s), 15 queries .

Powered by Discuz! X3.4 Licensed

© 2001-2017 Comsenz Inc.

快速回复 返回顶部 返回列表